You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
Matt Knight 780a75268f add reset value and reset mask register properties (#24)
* add reset value and reset mask register properties

* usize => u64
7 months ago
..
svd Test framework (#8) 7 months ago
atdf.zig Test framework (#8) 7 months ago
main.zig Test framework (#8) 7 months ago
svd.zig add reset value and reset mask register properties (#24) 7 months ago