You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
Felix Queißner a49fad9730
Runs zig fmt (#133)
Co-authored-by: Felix "xq" Queißner <xq@random-projects.net>
1 year ago
..
blinky.zig Mimic new stdlib build API (#111) 2 years ago
has_board.zig Mimic new stdlib build API (#111) 2 years ago
has_dependencies.zig Mimic new stdlib build API (#111) 2 years ago
has_hal.zig Mimic new stdlib build API (#111) 2 years ago
interrupt.zig Regz rewrite (#103) 2 years ago
minimal.zig Mimic new stdlib build API (#111) 2 years ago
uart-sync.zig Runs zig fmt (#133) 1 year ago